CORDIC

CORDIC (sigle de COordinate Rotation DIgital Computer, « calcul numérique par rotation de coordonnées ») est un algorithme de calcul des fonctions trigonométriques et hyperboliques, notamment utilisé dans les calculatrices. Il a été décrit pour la première fois en 1959 par Jack E. Volder. Il ressemble à des techniques qui avaient été décrites par Henry Briggs en 1624.

Il s'agit d'un algorithme de choix lorsque aucune implantation matérielle d'un multiplicateur n'est disponible (sur certains microcontrôleurs simples ou des FPGA). De plus, l'algorithme du CORDIC s'adapte bien au calcul à la chaîne. À l'origine, la programmation du CORDIC reposait sur un système binaire.

Durant les années 1970, les versions décimales du CORDIC (avec des nombres codés en BCD) commencèrent à apparaître, notamment dans les calculatrices où les critères de coût du matériel sont plus importants que la vitesse de traitement. Un autre avantage du CORDIC est sa flexibilité puisqu'il permet de calculer plusieurs fonctions avec quasiment le même code.

Description

CORDIC permet de déterminer le sinus ou le cosinus d'un angle donné en radians sous un format à virgule fixe. Pour trouver le sinus ou le cosinus d'un angle β, on recherche la coordonnée x ou y du point du cercle unité lui correspondant. CORDIC commence les calculs avec un vecteur v0 tel que :

v 0 = ( 1 0 ) {\displaystyle v_{0}={\begin{pmatrix}1\\0\end{pmatrix}}}

Durant la première itération, le vecteur subit une rotation de 45° dans le sens anti-horaire (sens trigonométrique) afin d'obtenir un nouveau vecteur v1. Des itérations successives doivent engendrer une rotation du vecteur dans la bonne direction. À chaque itération, la rotation est faite d'un angle prédéterminé et moindre que le précédent. Ceci jusqu'à converger vers l'angle voulu.

Illustration de plusieurs itérations de CORDIC

Plus formellement, à chaque itération i, on calcule un nouveau vecteur grâce à la multiplication du vecteur vi avec la matrice de rotation Ri :

v i + 1 = R i v i   {\displaystyle v_{i+1}=R_{i}v_{i}\ }

La matrice de rotation Ri s'obtient selon la formule suivante :

R i = ( cos γ i σ i sin γ i σ i sin γ i cos γ i ) {\displaystyle R_{i}={\begin{pmatrix}\cos \gamma _{i}&-\sigma _{i}\sin \gamma _{i}\\\sigma _{i}\sin \gamma _{i}&\cos \gamma _{i}\end{pmatrix}}}

Le facteur σi prend les valeurs +1 ou -1 et sert à indiquer le sens de la rotation, γi restant positif.

En factorisant par le terme cos(γi), on obtient :

v i + 1 = R i v i = cos γ i ( 1 σ i tan γ i σ i tan γ i 1 ) ( x i y i ) {\displaystyle v_{i+1}=R_{i}v_{i}=\cos \gamma _{i}{\begin{pmatrix}1&-\sigma _{i}\tan \gamma _{i}\\\sigma _{i}\tan \gamma _{i}&1\end{pmatrix}}{\begin{pmatrix}x_{i}\\y_{i}\end{pmatrix}}}

Si l'on restreint les choix possibles pour l'angle γi de manière que tan(γi) soit égal à 2i alors la multiplication par la tangente devient une multiplication par une puissance de 2. Une opération aisée à réaliser informatiquement puisqu'en binaire il s'agit d'un décalage de bits.

Le calcul devient :

v i + 1 = R i v i = cos ( arctan ( 2 i ) ) ( 1 σ i 2 i σ i 2 i 1 ) ( x i y i ) = K i ( x i σ i 2 i y i x i σ i 2 i + y i ) {\displaystyle v_{i+1}=R_{i}v_{i}=\cos(\arctan(2^{-i})){\begin{pmatrix}1&-\sigma _{i}2^{-i}\\\sigma _{i}2^{-i}&1\end{pmatrix}}{\begin{pmatrix}x_{i}\\y_{i}\end{pmatrix}}=K_{i}{\begin{pmatrix}x_{i}-\sigma _{i}2^{-i}y_{i}\\x_{i}\sigma _{i}2^{-i}+y_{i}\end{pmatrix}}}

avec

K i = cos ( arctan ( 2 i ) )   {\displaystyle K_{i}=\cos(\arctan(2^{-i}))\ }

Ces coefficients Ki peuvent être ignorés pendant les itérations et factorisés en un seul coefficient multiplicatif final (dépendant de n) :

K ( n ) = i = 0 n 1 K i = i = 0 n 1 cos ( arctan ( 2 i ) ) = i = 0 n 1 1 1 + 2 2 i {\displaystyle K(n)=\prod _{i=0}^{n-1}K_{i}=\prod _{i=0}^{n-1}\cos(\arctan(2^{-i}))=\prod _{i=0}^{n-1}{\frac {1}{\sqrt {1+2^{-2i}}}}}

qui peut être calculé à l'avance et prémémorisé. Également, lorsque n tend vers l'infini, ce produit tend vers une constante :

K = lim n K ( n ) 0 , 6073 {\displaystyle K=\lim _{n\to \infty }K(n)\approx 0,6073} (0,60725294…)

Après suffisamment d'itérations, l'angle du vecteur sera proche de l'angle β voulu.

La dernière étape consiste à déterminer à chaque itération le sens de rotation, trigonométrique ou horaire (un résultat reporté sur la valeur de σi). Pour ce faire, on considère la valeur actuelle de l'angle βi du vecteur, que l'on soustrait à l'angle désiré. On teste si cette différence est positive (rotation dans le sens horaire) ou négative (sens trigonométrique), ce qui détermine la valeur de σi de façon à s'approcher de l'angle β :

β i + 1 = β i σ i γ i . γ i = arctan 2 i {\displaystyle \beta _{i+1}=\beta _{i}-\sigma _{i}\gamma _{i}.\quad \gamma _{i}=\arctan 2^{-i}} ,

Les valeurs des γi sont précalculées dans une table prémémorisée de valeurs. Toutefois, pour des angles petits, on utilise l'approximation arctan(2-i)2-i dans une représentation en virgule fixe, permettant ainsi de réduire la taille de cette table.

Comme illustré dans le schéma ci-dessus, le sinus de l'angle β est la coordonnée y du vecteur final vn, alors que la coordonnée x correspond au cosinus.

Algorithme

En 1971, John Stephen Walther de Hewlett Packard, a présenté une généralisation de l'algorithme qui fut mise en œuvre dans la calculatrice HP-35. Cette méthode permet de calculer notamment les fonctions hyperboliques mais également d'autres fonctions comme l'exponentielle, la division ou la multiplication. La généralisation se présente comme suit[1] :

{ x k + 1 = x k m σ k y k 2 k y k + 1 = y k + σ k x k 2 k z k + 1 = z k σ k ε k {\displaystyle \left\{{\begin{matrix}x_{k+1}=x_{k}-m\sigma _{k}y_{k}2^{-k}\\y_{k+1}=y_{k}+\sigma _{k}x_{k}2^{-k}\\z_{k+1}=z_{k}-\sigma _{k}\varepsilon _{k}\end{matrix}}\right.}

avec m ∈ {–1 ; 0 ; 1} , εk des constantes définies à l'avance et σk ∈ {–1 ; 1} (en fonction de la valeur de zk).

Fonctions trigonométriques

On utilise la généralisation avec les paramètres :

m = 1   {\displaystyle m=1~}
ε k = arctan ( 2 k ) {\displaystyle \varepsilon _{k}=\operatorname {arctan} (2^{-k})}
σ k = sgn ( z k ) {\displaystyle \sigma _{k}=\operatorname {sgn} (z_{k})}
x 0 = K = i = 0 cos ( arctan ( 2 i ) ) 0.607252 {\displaystyle x_{0}=K=\prod _{i=0}^{\infty }\cos(\arctan(2^{-i}))\approx 0.607252}
y 0 = 0   {\displaystyle y_{0}=0~}
z 0 = θ   {\displaystyle z_{0}=\theta ~} (en radians)

À la fin de n itérations, on a xn ≈ cos(θ) et yn ≈ sin(θ).

Cette méthode ne fonctionne que si :

| θ | < i = 0 arctan ( 2 i ) 1 , 7 {\displaystyle |\theta |<\sum _{i=0}^{\infty }\operatorname {arctan} (2^{-i})\approx 1{,}7}

En pratique cela ne pose pas de problème car les fonctions trigonométriques peuvent toujours être ramenées au cas 0 ≤ θ < π/2 en exploitant leurs propriétés les plus connues (voir Identité trigonométrique).

Fonctions hyperboliques

On utilise la généralisation avec les paramètres :

m = 1   {\displaystyle m=-1~}
ε k = artanh ( 2 k ) {\displaystyle \varepsilon _{k}=\operatorname {artanh} (2^{-k})}
σ k = sgn ( z k ) {\displaystyle \sigma _{k}=\operatorname {sgn} (z_{k})}
x 0 = i = 0 cosh ( arctanh ( 2 i ) ) 1 , 20513 {\displaystyle x_{0}=\prod _{i=0}^{\infty }\cosh(\operatorname {arctanh} (2^{-i}))\approx 1,20513}
y 0 = 0   {\displaystyle y_{0}=0~}
z 0 = θ   {\displaystyle z_{0}=\theta ~} (en radians)

À la fin de n itérations, on a xn ≈ cosh(θ) et yn ≈ sinh(θ), ainsi que xn + yn ≈ exp(θ).

Cette méthode ne fonctionne que si la valeur absolue de z est inférieure à environ 1,05. Des transformations d'expressions grâce à des identités trigonométriques permettent de contourner ces problèmes en faisant en sorte que les paramètres soient dans l'intervalle requis. La répétition de certaines itérations résout les problèmes de convergence[1].

Double itération CORDIC

Dans les publications de Baykov[2],[3], celui-ci a proposé d'utiliser la méthode des doubles itérations pour l'implémentation des fonctions : arcsinX, arccosX, lnX, expX, ainsi que pour le calcul des fonctions hyperboliques. La méthode des doubles itérations consiste dans le fait que contrairement à la méthode CORDIC classique, où la valeur du pas d'itération change à CHAQUE fois, c'est-à-dire à chaque itération, dans la méthode de la double itération, la valeur du pas d'itération est répétée deux fois et ne change qu'au cours d'une itération. D'où la désignation de l'indicateur de degré pour les itérations doubles : i = 1,1,2,2,3,3... Alors qu'avec les itérations ordinaires : i = 1,2,3... La méthode de la double itération garantit la convergence de la méthode dans toute la plage valide de changements d'arguments.

La généralisation des problèmes de convergence CORDIC pour le système de numération positionnelle arbitraire[4], avec Radix R a montré que pour les fonctions sin, cos, arctg, il suffit d'effectuer (R-1) itérations pour chaque valeur de i (i = 0 ou 1 à n, où n est le nombre de chiffres), c'est-à-dire pour chaque chiffre du résultat. Pour les fonctions ln, exp, sh, ch, arth, des itérations R doivent être effectuées pour chaque valeur i. Pour les fonctions arcsin et arccos 2 (R-1) des itérations doivent être effectuées pour chaque chiffre numérique, c'est-à-dire pour chaque valeur de i. Pour les fonctions arch, arch, le nombre d'itérations sera de 2R pour chaque i, c'est-à-dire pour chaque chiffre de résultat.

Fonctions linéaires

CORDIC permet également de calculer la multiplication ou la division entre des nombres a et b.

Multiplication

m = 0   {\displaystyle m=0~}
ε k = 2 k {\displaystyle \varepsilon _{k}=2^{-k}}
σ k = sgn ( z k ) {\displaystyle \sigma _{k}=\operatorname {sgn} (z_{k})}
x 0 = a {\displaystyle x_{0}=a}
y 0 = 0   {\displaystyle y_{0}=0~}
z 0 = b   {\displaystyle z_{0}=b~}

À la fin de n itérations, on a yna × b. En pratique, elle est peu intéressante car son domaine est restreint : il faut impérativement que b ∈ [–2 ; 2].

Division

m = 0   {\displaystyle m=0~}
ε k = 2 k {\displaystyle \varepsilon _{k}=2^{-k}}
σ k = sgn ( y k ) {\displaystyle \sigma _{k}=-\operatorname {sgn} (y_{k})}
x 0 = b   {\displaystyle x_{0}=b~}
y 0 = a   {\displaystyle y_{0}=a~}
z 0 = 0   {\displaystyle z_{0}=0~}

À la fin de n itérations, on a zna / b. Elle a aussi un domaine d'application restreint puisque la condition suivante doit être respectée : | a b | 2 {\displaystyle \left|{\frac {a}{b}}\right|\leq 2}

Bibliographie

  • Jack E. Volder, The CORDIC Trigonometric Computing Technique, IRE Transactions on Electronic Computers,
  • Daggett, D. H., Decimal-Binary conversions in CORDIC, IRE Transactions on Electronic Computers, Vol. EC-8 no 5, p. 335-339, IRE, .
  • J. E. Meggitt, Pseudo Division and Pseudo Multiplication Processes, IBM Journal, .
  • Vladimir Baykov, Problems of Elementary Functions Evaluation Based on Digit by Digit (CORDIC) Technique, rapport de thèse, Université d'État de Leningrad d'ingénierie électrique, 1972
  • Schmid, Hermann, Decimal computation. New York, Wiley, 1974
  • V.D.Baykov, V.B.Smolov, Hardware implementation of elementary functions in computers, Université d'État de Leningrad, 1975, 96p.
  • Senzig, Don, Calculator Algorithms, IEEE Compcon Reader Digest, Catalogue IEEE no 75 CH 0920-9C, p. 139-141, IEEE, 1975.
  • V.D.Baykov, S.A.Seljutin, Elementary functions evaluation in microcalculators, Moscou, Radio & svjaz, 1982,64p.
  • Vladimir D.Baykov, Vladimir B.Smolov, Special-purpose processors: iterative algorithms and structures, Moscou, Radio & svjaz, 1985, 288 pages
  • M. Zechmeister Solving Kepler’s equation with CORDIC double iterations Institut für Astrophysik, Georg-August-Universität, Friedrich-Hund-Platz 1, 37077 Göttingen, Germany, Preprint 10 August 2020, p.1-10.
  • M. E. Frerking, Digital Signal Processing in Communication Systems, 1994
  • Vitit Kantabutra, On hardware for computing exponential and trigonometric functions, IEEE Trans. Computers 45 (3), 328-339 (1996).
  • Tomás Lang & Elisardo Antelo CORDIC-Based Computation of ArcCos Journal of VLSI signal processing systems for signal, image and video technology volume 25, pages19–38 (2000)
  • Vladimir Baykov Double iterations in CORDIC CORDIC Bibliography
  • Andraka, Ray, A survey of CORDIC algorithms for FPGA based computers
  • Le Secret des algorithmes, Jacques Laporte, Paris 1981. À la suite du décès de son auteur et non-renouvellement auprès de l'hébergeur, le site est désormais inaccessible (même chez archive.org), sauf auprès de la NSA. Néanmoins, une copie, autorisée par sa veuve, se trouve à l'adresse : http://home.citycable.ch/pierrefleur/Jacques-Laporte/index.html.
  • (en) Jean-Michel Muller, Elementary Functions – Algorithms and Implementation, 2nd Ed., Boston, Birkhäuser, , 2e éd., 265 p. (ISBN 978-0-8176-4372-0, LCCN 2005048094, présentation en ligne)
  • Lefèvre V., Zimmerman P., , Arithmétique flottante, Rapport de Recherche INRIA no 5105.

Notes et références

  1. a et b http://cdeval.free.fr/IMG/pdf/cordic.pdf
  2. Hardware implementation of the elementary functions by digit-by-digit (CORDIC) technique, thèse de Vladimir Baykov, disponible sur [1]
  3. (en) V.D.Baykov et V.B.Smolov, Hardware implementation of elementary functions in computers, Leningrad State University, , 96 p. (lire en ligne)
  4. (en) Vladimir Baykov et Vladimir Smolov, Special-purpose processors: iterative algorithms and structures, Moscow, , 288 p. (lire en ligne)

Voir aussi

Articles connexes

Liens externes

  • (en) Dspguru - Questions sur CORDIC
  • (en) Synthèse sonore en FPGA
  • (en) Vecteurs arbitraires dans CORDIC
  • (en) méthode CORDIC à doubles itérations
  • (en) discussions sur USENET
  • (en) D'autres discussions sur USENET
  • (en) Calculs de Arccos et 1 t 2 {\displaystyle {\sqrt {1-t^{2}}}} avec CORDIC
  • (en) programmation de CORDIC basée sur des tampons
  • (en)http://www.math.niu.edu/~rusin/known-math/94/cordic
  • (en) Implémentation de CORDIC dans la ROM du HP-35 - Jacques Laporte (analyse pas à pas du firmware)
  • (en) Digit by digit methods, Jacques Laporte, Paris 2006 (la filiation entre CORDIC et les anciennes méthodes notamment celle de Briggs)
  • icône décorative Portail des mathématiques
  • icône décorative Portail de l’informatique